VnReview
Hà Nội

Cuộc chiến ngành chip giữa Intel và TSMC chính thức bắt đầu

Trong một động thái rất được mong đợi, tập đoàn Intel, gã khổng lồ sản xuất chip ở Santa Clara đã công bố chiến lược mới nhất của mình trong nỗ lực đa dạng hóa hoạt động nhằm đáp ứng nhu cầu dự kiến tăng mạnh mẽ đối với các sản phẩm silicon trong tương lai.

Chiến lược mới của Intel có tên chính thức là "IDM 2.0", xoay quanh nhiệm vụ nâng tầm sản xuất chip, tăng công suất cũng như mở rộng nguồn cung cho các đối tác trong tình trạng khan hiếm bán dẫn đang kéo dài. Điểm nổi bật trong dự án của Intel là khoản đầu tư ban đầu 20 tỷ USD để xây dựng hai nhà máy chế tạo tiên tiến ở Arizona (Mỹ) giúp hãng thúc đẩy sản xuất trong bối cảnh nhu cầu bán dẫn toàn cầu đang tăng mạnh mẽ. Động thái này được Intel đưa ra khi mà cả TSMC và Samsung đều có kế hoạch xây dựng xưởng đúc tại Mỹ.;

"Đòn đánh" cảnh cáo của Intel dành cho TSMC 

Tác động tức thì lớn nhất mà kế hoạch mới của Intel tạo ra đối với TSMC là giá cổ phiếu. Tuy nhiên, trái ngược với dự đoán của nhiều nhà phân tích rằng đây sẽ là một sự sụt giảm mạnh mẽ, TSMC chỉ mất khoảng 4% giá trị giá cổ phiếu vài giờ sau khi Giám đốc điều hành Intel, ông Pat Gelsinger đưa ra thông báo này trên webcast. 

Tuy nhiên, sự sụt giảm giá cổ phiếu không phải là tác động lớn nhất mà nhà sản xuất chip Đài Loan phải chịu. Thay vào đó, vấn đề là khối lượng cổ phiếu giao dịch của TSMC trao đổi trong ngày hôm đó dừng ở mức 115 triệu. Kết thúc phiên giao dịch hôm 23/3, giá đóng cửa cổ phiếu TSMC là 593 Đài tệ. Vào phiên mở cửa ngày hôm sau, các nhà đầu tư đã có đầu tư "thổi giá" nhẹ giúp cổ phiếu của hãng chip Đài Loan phục hồi một phần giá trị trước khi đóng cửa ở mức 576 Đài tệ. 

Doanh số cổ phiếu của TSMC đạt mức đỉnh điểm nhờ vào hoạt động bán ra của các nhà đầu tư nước ngoài. Trong tháng 3, các nhà đầu tư nước ngoài đã bán ra khoảng 157 triệu cổ phiếu TSMC, kém hơn 64 triệu cổ phiếu so với lượng được bán vào cuối tháng 2. Kể từ thời điểm này, giá cổ phiếu của TSMC cũng mất đi 10% giá trị. 

'Kẻ tám lạng người nửa cân' 

Câu hỏi quan trọng là liệu thông báo mới của Intel sẽ gây được tác động đến TSMC trong bao lâu. Tập đoàn sản xuất chip Đài Loan đang chiếm phần lớn thị phần trong ngành bán dẫn nhờ vào những thành công liên tục trong việc nâng cấp tiến trình sản xuất cùng với sự đầu tư ổn định vào các công nghệ sản xuất mới nhất. 

Các nhà phân tích và học giả trên khắp Đài Loan đang chia ra hai phe đối lập khi tranh luận về vấn đề này. Gao Qinquan, một chuyên gia trong ngành công nghiệp chip tin rằng bằng cách xây dựng thêm 2 nhà máy chế tạo tiên tiến ở Mỹ, Intel sẽ có thể đảm bảo trợ cấp từ chính phủ Mỹ và cho phép tập đoàn này dễ dàng mua máy in thạch bản cực tím (EUV). Tuy nhiên, sự vượt trội về mặt công nghệ trong các kế hoạch này sẽ phụ thuộc vào tiến độ phát triển các tiến trình 5nm và 3nm của hãng trong thời gian tới. Nếu thành công, những điều này sẽ mang lại cho Intel những lợi thế về công nghệ và năng lực kiểm soát đối với các công ty Đài Loan. 

Yang Rui, một học giả lại nghĩ rằng quyết định của Intel là "đi ngược lại xu hướng" vì trọng tâm chính của các xưởng đúc thuần túy như TSMC là sản xuất chip cho khách hàng. Chu Xiangsheng, Chủ tịch Công ty Tư vấn Đầu tư Nam Trung Quốc, Cai Mingyan, Chủ tịch Công ty Tư vấn Đầu tư Qunyi và Huang Wenqing, Phó Tổng Giám đốc Công ty Tư vấn Đầu tư Taishin đồng ý với ông Gao và tin rằng con đường phía trước của TSMC sẽ được quyết định bởi lợi nhuận của Intel đối và nếu công ty thành công, thì TSMC sẽ phải đối mặt với sự cạnh tranh gay gắt.

Theo Eric Chen, đối tác quản lý tại Cornucopia Capital Partner Ltd., thông báo của Intel là "tin xấu" đối với TSMC, nhưng mức độ ảnh hưởng mà Intel gây ra đối với công ty Đài Loan còn phụ thuộc vào năng lực sản xuất và khả năng phân bổ nguồn lực của Intel. Ngoài ra, Chen cho rằng các nhà thiết kế chip lớn nhất của Mỹ bao gồm Apple Inc, NVIDIA Corporation và Advanced Micro Devices Inc ít có khả năng sẽ "gõ cửa" Intel để đặt hàng sản xuất chip do các vấn đề liên quan cạnh tranh và kiện tụng. Những thất bại công nghệ của Intel trong những năm gần đây Intel được cho là một trong những nguyên nhân chính thúc đẩy Apple phát triển vi xử lý của riêng mình cho các dòng máy Mac. 

Cuộc chiến tranh giành máy EUV 

Một báo cáo chỉ rằng cuộc chiến giữa Intel và TSMC không chỉ dừng lại ở cạnh tranh khách hàng mà còn về cả nguồn lực sản xuất, đặc biệt đó là việc tranh giành nguồn cung máy EUV. Có thể nói, thiết bị này là yếu tố quan trọng giúp Intel đảm bảo các đơn hàng và giữ được lợi thế về công nghệ. Theo đó, nhiều nguồn tin cho rằng nhờ công nghệ EUV, chip sản xuất trên tiến trình 7nm của Intel có thể có hiệu suất ngang bằng với chip 5nm của TSMC, thậm chí là vượt trội hơn khi mà không ít chuyên gia dự đoán rằng chip 3nm sắp tới của TSMC mới đủ khả năng để cạnh tranh với chip 7nm của Intel. Hiện tại, Intel đã và đang sử dụng kỹ thuật in thạch bản cực tím (EUV) cho quy trình sản xuất chip 7nm trong khi TSMC vẫn đang bị giới hạn về khả năng sử dụng công nghệ này.

Ước tính do công ty điện tử Hà Lan ASML đưa ra cho thấy rằng trong năm 2021 và 2022, TSMC sẽ mua được 40-50 máy EUV trong khi Intel sẽ mua được 13-20 máy. Công ty này còn tiết lộ rằng vào cuối năm ngoái, nhà sản xuất chip Đài Loan đã sở hữu khoảng 30 – 63 máy EUV. ASML là nhà cung cấp hàng đầu thế giới về thiết bị quang khắc cho ngành công nghiệp bán dẫn đồng thời là công ty duy nhất có khả năng cung cấp thiết bị chế tạo các chip EUV. 

Tuy nhiên, với lợi thế có nhiều nghiên cứu EUV được ứng dụng rộng rãi hơn, Intel vẫn đang đi trước TSMC một bước. Hiện nay, việc chế tạo chip trở nên rất phức tạp vì nó cần được khắc bằng tia có bước sóng ánh sáng nhỏ hơn khi mà kích thước bóng bán dẫn đang ngày càng thu nhỏ. Máy EUV hiện tại sử dụng thấu kính có khẩu độ 0,33 NA, nhưng khi các nhà sản xuất chip giảm kích thước bóng bán dẫn từ 5nm xuống 3nm hoặc 2nm, họ sẽ phải sử dụng máy có thấu kính 0,55 NA.

Do các sản phẩm 7nm của Intel sử dụng EUV trên quy mô lớn, công ty có khả năng yêu cầu quyền truy cập vào các máy này sớm hơn TSMC. Vì vậy, bất chấp TSMC hiện đang dự trữ một lượng hàng tồn máy EUV như vậy, Intel mới là người tận dụng công nghệ này tối ưu hơn hết. TSMC dự kiến bắt đầu sản xuất chip trên tiến trình 3nm vào năm 2022 trong khi các chip 7nm của Intel sẽ chỉ được xuất xưởng cho đến năm 2023, chậm hơn một năm so với đề xuất của công ty trước đó. Do đó, trong vài năm sắp tới, cuộc chiến giữa hai ông lớn ngành chip này sẽ được quyết định khá nhiều bằng việc ai sẽ nhập được lượng máy EUV thế hệ tiếp theo nhiều hơn. 

Intel cần đến sự hỗ trợ 

Một nhân viên cấp cao của TSMC, ông Huang Chongren nhận định rằng sự khác biệt về mức độ đãi ngộ cũng như năng suất giữa các kỹ sư Mỹ và Đài Loan sẽ đóng một vai trò quan trọng trong việc xác định kết quả của cuộc cạnh tranh giữa Intel và TSMC. Theo Huang, mức lương của một kỹ sư Mỹ tương đương với mức lương của 6 kỹ sư Đài Loan, trong đó một kỹ sư Đài Loan có hiệu quả làm việc bằng 75% so với một kỹ sư ở Mỹ.

Sau đó, ông Huang tin rằng các nhà sản xuất chip của Mỹ và châu Âu sẽ gặp khó khăn khi cạnh tranh với các công ty Đài Loan, đặc biệt là ở khả năng kiếm lợi nhuận. Chẳng hạn như Intel, gã khổng lồ chip của Mỹ vừa qua đã công bố không dưới 18 thông báo rằng họ đang nhận hỗ trợ cho kế hoạch chế tạo một bộ phận mới có tên là Intel Foundry Services (IFS). Theo đó, những công ty hợp tác với Intel trong dự án này bao gồm ASML, công ty Hà Lan cam kết "hỗ trợ Intel với các máy EUV tiên tiến", Qualcomm, nhà sản xuất chip "mong muốn hợp tác với Intel trong tương lai" , Google và Microsoft. 

Chí Tôn (Tham khảo Wccftech)

Chủ đề khác