VnReview
Hà Nội

Intel muốn lật đổ TSMC và Samsung vào năm 2025

Mới đây, Intel đã lên tiếng xác nhận rằng công ty sẽ sản xuất các công nghệ bán dẫn tiên tiến nhất thế giới vào năm 2024 và sẽ giành lại ngôi vương sản xuất chip toàn cầu từ các đối thủ châu Á như TSMC và Samsung trong một năm đó.

Intel muốn lật đổ TSMC và Samsung vào năm 2025

Công ty tiết lộ thêm, họ đã đạt được thỏa thuận sử dụng công nghệ mới của mình để sản xuất những con chip di động cho Qualcomm – khách hàng chủ chốt của Samsung và TSMC – đánh dấu chiến thắng lớn đầu tiên của Intel trong lĩnh vực xưởng đúc, hay còn gọi là sản xuất chip hợp đồng cho các công ty khác.

Intel, nhà sản xuất vi xử lý lớn nhất tại Mỹ, đã tụt lại phía sau những đối thủ đến từ Châu Á trong vài năm gần đây. Nguyên nhân chính xuất phát từ việc Intel đã nhiều lần trì hoãn việc đưa các công nghệ sản xuất tiên tiến đến thị trường. Tình trạng khó khăn đó còn diễn ra mạnh mẽ hơn trong năm nay, nhưng Intel mới đây tuyên bố, công ty sẽ đạt được "sự ngang bằng" về công nghệ tiến trình với các công ty hiện đang đứng đầu trong ngành, cụ thể là TSMC và Samsung, vào năm 2024, và giành lại vị trí dẫn đầu của mình vào năm 2025.

Thông báo này được đưa ra trong bối cảnh Mỹ và các quốc gia khác đang muốn đưa quá trình sản xuất bán dẫn về nước mình. Washington gần đây đã phê duyệt gói đầu tư trị giá 52 tỉ USD nhằm thúc đẩy ngành công nghiệp chip trong nước. Intel và TSMC đều đang rót hàng tỉ USD vào việc xây dựng và mở rộng các cơ sở bán dẫn ở Mỹ.

"Chúng tôi sẽ đặt ra một con đường rõ ràng để mang đến sự ngang bằng về công nghệ tiến trình vào năm 2024 và dẫn đầu ngành vào năm 2025", CEO Intel, Pat Gelsinger, tiết lộ trong một hội nghị công nghệ trực tuyến. "Chúng tôi là công ty hàng đầu duy nhất thực hiện cả nghiên cứu và phát triển cũng như sản xuất tại Mỹ."

Intel cho biết, công nghệ mới có tên là 20A và sẽ tiên tiến hơn với các công nghệ tiên tiến hiện có của TSMC và Samsung, vốn có thể đạt đến mức 2nm.

Kích thước nm mô tả khoảng cách giữa các transistor trên chip. Kích thước nm càng nhỏ, chip càng tiên tiến và mạnh mẽ, nên quá trình phát triển và sản xuất cũng cần phải tân tiến và tốn kém hơn.

Hiện chỉ có Intel, TSMC và Samsung có thể sản xuất những con chip sử dụng những công nghệ tiến trình dưới 10nm. Chẳng hạn, TSMC sẽ đưa công nghệ 3nm vào sản xuất hàng loạt trong nửa cuối năm 2022.

Intel muốn lật đổ TSMC và Samsung vào năm 2025

Tuy nhiên, Intel đã rất chật vật trong việc triển khai các tiến trình tiên tiến. Công ty cũng chậm trễ trong việc sản xuất hàng loạt các bộ xử lý Xeon thế hệ tiếp theo dành cho các máy chủ dữ liệu của mình. Hơn nữa, phải đến cuối năm 2022 hoặc 2023, Intel mới có thể sản xuất hàng loạt chip 7nm, cách rất xa so với TSMC và Samsung.

Intel vừa là đối thủ, vừa là khách hàng của TSMC – công ty hiện đang kiểm soát 50% thị trường đúc chip trên toàn cầu. Công ty Mỹ đang thử nghiệm các thiết kế chip của mình với những công nghệ 3nm của TSMC như một kế hoạch dự phòng hòng có thể thời gian giải quyết vấn đề chậm trễ của mình.

Hồi tháng 3, Intel đã thông báo rằng họ sẽ hoạt động trở lại trong lĩnh vực xưởng đúc. Vài tuần trước, công ty Mỹ xác nhận đã giành được 100 khách hàng. Trong quá khứ, các cơ sở sản xuất và năng lực của Intel chủ yếu được sử dụng để sản xuất những con chip của riêng mình.

Intel xác nhận thêm, Amazon Web Service, một khách hàng khác của TSMC, cũng sẽ chuyển sang công nghệ đóng gói tiên tiến của Intel – bước cuối cùng trong quy trình sản xuất chip, có nhiệm vụ tích hợp các loại chip khác nhau vào đế wafer. Quy trình đóng gói chip hiện được xem là chiến trường quan trọng tiếp theo của các công ty đứng đầu ngành.

Pat Gelsinger nhắc lại rằng Intel sẽ công bố nhiều kế hoạch mở rộng hơn tại Mỹ và Châu Âu trước khi năm nay kết thúc. TSMC gần đây cũng xác nhận rằng đang cân nhắc xây dựng nhà máy chip đầu tiên ở Châu Âu của mình tại Đức.

Trao đổi với Nikkei Asia, Eric Tseng, nhà phân tích chính tại Isaiah Research, cho biết, việc giới thiệu công nghệ chip 2nm vào năm 2024 sẽ là một mục tiêu đầy tham vọng.

"Có vẻ hơi lạc quan đối với việc Intel xây dựng và công bố lộ trình sản xuất như vậy vì lịch trình khá chặt chẽ và cũng bởi vì họ cần bắt kịp một số tiến trình sản xuất. Liệu Intel có thể thực hiện tốt tất cả các kế hoạch này hay không mới là vấn đề quan trọng."

Tseng bổ sung thêm, ông "không ngạc nhiên" khi họ sẵn sàng hợp tác với Qualcomm. "Rốt cuộc, vấn đề chính của Chính phủ Mỹ là đưa việc sản xuất bán dẫn về lãnh thổ của mình và không có gì để mất đối với Qualcomm, nếu họ hợp tác với Intel ngay tại giai đoạn này."

Lê Hữu;(theo Nikkei Asia)

Chủ đề khác