Trận chiến 2nm, TSMC bắt đầu phòng thủ

Khánh Phạm

Moderator
Hiện nay, sự cạnh tranh trong công nghệ sản xuất chip ngày càng trở nên khốc liệt. Hai gã khổng lồ TSMC và Intel đang chạy đua tung ra các công nghệ xử lý tiên tiến hơn trong lĩnh vực xử lý 2nm đến 1nm, cố gắng nắm bắt các cơ hội thị trường.
Trong cuộc đọ sức giữa các quy trình sản xuất tiên tiến này, bạn có tin tưởng TSMC hơn không? Hay bạn lạc quan hơn về Intel?
Về mặt công nghệ, TSMC nổi tiếng thế giới với công nghệ xử lý tiên tiến. Từ 7nm đến 3nm, TSMC luôn giữ vững vị trí dẫn đầu và không ngừng làm mới các giới hạn của công nghệ bán dẫn. Mặc dù Intel từng dẫn đầu về công nghệ xử lý nhưng họ đã gặp phải nhiều độ trễ trong nút quy trình 10nm và quy trình đã tương đối tụt hậu trong nhiều năm.
Trong bối cảnh đó, nhiều người cho rằng việc đối đầu TSMC là bước đi cực đoan của Intel. Vậy tại sao Intel dám thách thức TSMC? Động lực đằng sau hai nhà sản xuất lớn này là gì?
Trước khi tìm hiểu những yếu tố này, bạn cần hiểu rõ lịch sử cạnh tranh giữa TSMC và Intel trong những năm qua.

Lịch sử cạnh tranh giữa TSMC và Intel​

Trận chiến 2nm, TSMC bắt đầu phòng thủ
Trong kỷ nguyên PC, Intel chắc chắn là công ty dẫn đầu ngành, thống trị thị trường CPU cho máy tính cá nhân và máy chủ. Mô hình kinh doanh của Intel là kết hợp chặt chẽ giữa thiết kế và sản xuất chip. Bộ phận thiết kế tiếp tục lặp lại và thiết kế các CPU mới hơn và nhanh hơn, trong khi bộ phận sản xuất đầu tư số tiền khổng lồ để sản xuất các sản phẩm mới từ thế hệ này sang thế hệ khác.
Trong hai thập kỷ qua, các bộ xử lý Intel đã tuân thủ chặt chẽ “Định luật Moore”, từ 45nm, đến 32nm, đến 22nm, cho đến tận cùng.
Trước nút quy trình 14nm, Intel luôn duy trì vị trí dẫn đầu.
TSMC khác với mô hình IDM của Intel, định vị kinh doanh của TSMC đã rõ ràng ngay từ đầu: chỉ tham gia vào sản xuất hạ nguồn và không cạnh tranh với khách hàng về thiết kế chip. Trong hơn 20 năm kể từ khi TSMC được thành lập, nó từng tồn tại với tư cách là “em trai” của Intel.
Với sự đổi mới và tích lũy công nghệ không ngừng, TSMC đã thu hút được sự chú ý của Apple. Bắt đầu từ năm 2014, Apple, công ty phát triển chip của riêng mình, bắt đầu chuyển giao các đơn đặt hàng sản xuất chip cho TSMC. Sau khi mua lại Apple với tư cách là khách hàng lớn, TSMC không chỉ có được cơ hội phát triển công nghệ nhanh chóng mà còn đạt được mức tăng trưởng kinh doanh trong 10 năm tới. Kể từ đó, các đơn đặt hàng từ TSMC ngày càng đổ về và gần như tất cả các gã khổng lồ về thiết kế chip như Qualcomm, NVIDIA và AMD đều bắt đầu hợp tác với TSMC. TSMC đã dần có được khả năng cạnh tranh với Intel.
Cho đến 10nm, Intel bị hạn chế bởi vấn đề năng suất, không chọn EUV cho nút 10nm mà chọn tiếp tục sử dụng ArF DUV, và thay vì tăng mật độ bóng bán dẫn lên 2 lần theo Định luật Moore thì lại mạo hiểm tăng mật độ bóng bán dẫn lên 2 lần theo Định luật Moore, rồi gấp 2,7 lần, cũng như sự ra đời của Intel ở quy trình 10nm, vật liệu đắt tiền coban đã được sử dụng để thay thế đồng, độ cứng của coban cũng gây ra nhiều vấn đề khác nhau.
Ở giai đoạn này, mô hình nâng cấp hai năm một lần của Intel đã bị nhấn nút tạm dừng và TSMC bắt đầu vượt qua Intel. Từ đó, từ 7nm lên 3nm, các đối thủ đã thay đổi từ 3nm sang TSMC và Samsung, thậm chí trước “sự cám dỗ” từ quy trình tiên tiến của TSMC, Intel bắt đầu gia công sản xuất chip cho TSMC.
Trong cuộc cạnh tranh tiếp theo giữa TSMC và Samsung, TSMC đã giành được nhiều đơn đặt hàng quy trình tiên tiến hơn nhờ lợi thế về năng suất. Samsung cũng đặt việc cải thiện tỷ lệ năng suất làm ưu tiên hàng đầu và phát triển mạnh mẽ quy trình 3nm. Ngay khi TSMC và Samsung đang tranh giành gay gắt về quy trình 3nm tiên tiến, gã khổng lồ lâu nay không hoạt động là Intel đã âm thầm tham gia vào cuộc chiến này và đang thách thức TSMC trong thế hệ công nghệ xử lý tiếp theo.
Nhiều người cho rằng động thái của Intel là quá triệt để, xét cho cùng họ đã tụt hậu trong lĩnh vực quy trình tiên tiến trong nhiều năm. Tuy nhiên, CEO Intel Pat Gelsinger cho rằng Intel sẽ đánh bại TSMC trong vài năm tới.

Hai yếu tố thúc đẩy sự phản kháng của Intel​

Tác giả cho rằng Pat Gelsinger đưa ra quyết định này vì hai lý do, một là Gelsinger có sự phân tích sâu sắc và hiểu rõ hơn về khoảng cách hiện tại giữa hai công ty, điều này cho phép ông đánh giá tốt hơn những ưu điểm của Intel và TSMC.
Thế giới bên ngoài đã tuyên bố rằng quy trình hiện tại của TSMC đã phát triển lên 3nm, ngược lại Intel vẫn đang mắc kẹt ở giai đoạn quy trình Intel 4 (5nm). Trên thực tế, ít người biết rằng bản thân việc đặt tên cho quy trình ngày nay cũng là một “trò chơi”.
Trước năm 1990, việc giảm chiều dài cổng gần như hoàn toàn tuyến tính, chiều dài và chiều rộng của mỗi thế hệ bóng bán dẫn gấp 0,7 lần thế hệ trước (dài 0,7 * chiều rộng 0,7 = 0,49), tức là diện tích của một bóng bán dẫn bóng bán dẫn đã giảm xuống còn 0,5 lần kích thước ban đầu, xác nhận mô tả của Định luật Moore về việc tăng gấp đôi mật độ bóng bán dẫn. Ví dụ: 180nm>130nm>90nm>65nm>45nm>32nm>22nm, trong đó "X" dùng để chỉ chiều dài của cổng chip, là khoảng cách từ nguồn đến cực tiêu của bóng bán dẫn MOS. Khi số lượng quy trình nâng cao trở nên nhỏ hơn, mật độ bóng bán dẫn tương ứng sẽ cao hơn, mức tiêu thụ điện năng của chip sẽ thấp hơn và hiệu suất sẽ cao hơn.
Trong quá trình phát triển công nghệ tiếp theo, tốc độ giảm của các nút quy trình đã tăng lên, khoảng 0,72 lần và không còn tuyến tính hoàn toàn nữa. Các bóng bán dẫn hiệu ứng trường cũng đang dần tách ra khỏi cấu trúc cố định ban đầu của chúng, ví dụ, với sự xuất hiện của các bóng bán dẫn cấu trúc không gian FinFET, kênh đã trở thành một môi trường bao quanh ba chiều và độ dài kênh dần dần không thể đại diện cho độ chính xác cao nhất của quy trình. 7nm, 5nm và 3nm không còn đại diện cho độ dài kênh nữa mà là độ dài tương đương, nó chỉ là một con số.
Kể từ đó, quy tắc đặt tên quy trình của hai nhà sản xuất chip lớn là TSMC và Samsung cũng âm thầm thay đổi.
Khoảng cách cổng của Intel trong tiến trình 10nm là trình độ kỹ thuật mà TSMC và Samsung chỉ có thể đạt được trên tiến trình 7nm, ngay cả khi so sánh về mật độ bóng bán dẫn logic, Intel vẫn có lợi thế đáng kể. Ở tiến trình 10nm, mật độ bóng bán dẫn logic của Intel vào khoảng 101 triệu/mm2, trong khi của TSMC chỉ là 048 triệu/mm2.
Điều đáng chú ý là có một số khác biệt trong phương pháp tính toán của các chỉ số khác nhau.
Như Philip Wong, phó chủ tịch nghiên cứu của TSMC, đã nói trên Hot Chips 31: Giờ đây “Xnm” chỉ đại diện cho sự lặp lại của công nghệ, giống như một mẫu ô tô, không có ý nghĩa rõ ràng. Đây cũng là lý do tại sao sau này Intel áp dụng "Quy tắc đặt tên quy trình chip mới" và áp dụng các quy tắc như Intel 7, Intel 4, Intel 3, Intel 20A, Intel 18A, v.v. để xác định lại quy trình sản xuất chip. Sự tiến bộ công nghệ của chip không thể chỉ được đánh giá bằng số lượng quy trình nanomet.
Không còn nghi ngờ gì nữa, tốc độ của TSMC trong quy trình sản xuất tiên tiến quả thực là nhanh hơn và ổn định hơn, những đơn đặt hàng hào phóng mà TSMC tích lũy trong nhiều năm chắc chắn đã mang lại cho họ sức mạnh và nguồn lực khổng lồ, không ngừng phát huy những thành tựu trong nghiên cứu và phát triển công nghệ cũng như cải thiện năng suất. Một bước đột phá lớn hơn. Vì vậy, việc thách thức TSMC là không hề dễ dàng.
Thứ hai, dù là đổi mới công nghệ hay dự trữ năng lực sản xuất, Intel đã ấp ủ từ lâu và Intel đang chờ cơ hội để bắt đầu cuộc phản công này. Cùng xem Intel đã chuẩn bị những “bước lớn” nào để đối đầu TSMC?

Intel đã chuẩn bị gì để đối đầu với TSMC?​

Thoát khỏi hoạt động kinh doanh đúc
Vào tháng 6 năm 2023, Intel đã đưa ra thông cáo báo chí thông báo về việc tổ chức lại cơ cấu tổ chức. Hoạt động kinh doanh sản xuất của Intel (bao gồm cả hoạt động kinh doanh sản xuất và đúc IDM tự sử dụng (IFS) hiện tại) sẽ hoạt động độc lập và tạo ra lợi nhuận trong tương lai. Trong mô hình "đúc nội bộ" mới này, các đơn vị kinh doanh sản phẩm của Intel sẽ hợp tác với các nhóm kinh doanh sản xuất của công ty theo cách tương tự như hợp tác với các công ty bán dẫn không có nhà sản xuất và các xưởng đúc wafer bên ngoài.
Việc tách hoạt động kinh doanh sản xuất chip của Intel cũng có hai lợi thế. Một là giảm chi phí và tăng hiệu quả. Sau khi tách ra khỏi kế hoạch của Pat Gelsinger, nó có thể tiết kiệm 3 tỷ USD chi phí và đóng góp 6% vào lợi nhuận vào năm 2023. Doanh thu OEM sẽ vượt 20 tỷ USD vào năm 2023, thay thế Samsung và trở thành OEM lớn thứ hai thế giới. Nó sẽ giảm chi phí 30 tỷ USD trong ba năm tới và tiết kiệm 8 đến 10 tỷ USD vào năm 2025. Và sau khi loại bỏ hoạt động kinh doanh xưởng đúc, Intel có thể chọn các xưởng đúc như TSMC để sản xuất chip như AMD, đồng thời sử dụng công nghệ sản xuất mới nhất của các xưởng đúc để cải thiện hiệu suất chip và giảm chi phí. Điều này sẽ giúp Intel có khả năng cạnh tranh cao hơn trên thị trường và có khả năng đối phó tốt hơn với những thách thức từ các đối thủ như AMD.
Thứ hai, việc loại bỏ hoạt động kinh doanh xưởng đúc có thể tránh được sự cạnh tranh với khách hàng, vì Intel nhận thấy trong cuộc khảo sát rằng tất cả các khách hàng doanh nghiệp đúc lớn tiềm năng đều nói rằng họ sẽ không chọn xưởng đúc của Intel nếu họ cần cạnh tranh với chính Intel về nguồn lực của xưởng đúc và dịch vụ kỹ thuật. Không những vậy, để xóa tan nỗi lo của khách hàng xưởng đúc, Intel sẽ thiết lập tường lửa để phân biệt thông tin khách hàng và bảo vệ dữ liệu thiết kế nhạy cảm của khách hàng. Nhờ đó, Intel dự kiến sẽ nhận được các đơn đặt hàng quy trình tiên tiến từ các nhà sản xuất chip lớn như Apple và Nvidia trong tương lai.

Giới thiệu công nghệ cấp nguồn mặt sau và RibbonFET​

Trong một cuộc phỏng vấn vào tháng 12 năm 2023, Gelsinger nhấn mạnh quy trình 18A (1.8nm) và nút N2 (2nm) của TSMC. Cả 18A và N2 sẽ sử dụng bóng bán dẫn GAA (RibbonFET) và 18A sẽ sử dụng BSPND (Mạng phân phối điện mặt sau), một công nghệ truyền điện mặt sau giúp tối ưu hóa nguồn điện và xung nhịp.
Công nghệ cấp nguồn mặt sau là một cải tiến đầy hứa hẹn và Intel là công ty đầu tiên đưa nó vào thực tế, mang lại lợi thế cho việc quản lý nhiệt và hiệu suất tổng thể bằng cách cung cấp năng lượng cho mặt sau của chip thay vì mặt trước. Tản nhiệt và cung cấp năng lượng hiệu quả giúp tối ưu hóa bố cục và thiết kế chip, cải thiện chức năng và phân phối nhiệt.
PowerVia là một công nghệ mang tính cách mạng hoàn toàn. Sự tương tự tốt nhất đối với hầu hết độc giả là EUV. Ngay từ năm 2019, TSMC đã bắt đầu sử dụng máy in thạch bản EUV trong sản xuất hàng loạt chip, bạn phải biết rằng EUV mang đến những thách thức mới, đặc biệt là một loạt vấn đề mới khó giải quyết, chẳng hạn như ô nhiễm mặt nạ EUV và một số chất cản trở. Intel sẽ không sử dụng EUV cho đến khi sản xuất hàng loạt Intel 4 vào năm 2023.
BSPDN cũng cần cải tiến quy trình ở mức độ tương tự. Có thông tin cho rằng việc TSMC đưa vào BSPDN có thể chậm nhất là vào năm 2026. Trong vài năm tới, BSPDN có thể có nhiều cơ hội để có được lợi thế về thiết kế và Intel cũng có khả năng dẫn đầu PowerVia.
Gelsinger lưu ý rằng Intel mang lại hiệu suất không gian tốt hơn nhờ công nghệ cấp nguồn phía dưới. Điều này có nghĩa là chi phí thấp hơn, cung cấp năng lượng tốt hơn và hiệu suất cao hơn. Ông tin rằng Intel 18A nhỉnh hơn N2 một chút nhờ các bóng bán dẫn mạnh hơn và khả năng truyền tải điện năng tốt hơn. Ngoài ra, Intel có thể mang lại lợi thế cạnh tranh hơn về giá so với TSMC.

Nhận được bộ EUV NA cao đầu tiên​

Gần đây, Intel thông báo rằng họ đã nhận được máy in thạch bản cực tím ASML đầu tiên trên thị trường với khẩu độ số 0,55 (High-NA), dự kiến sẽ được sử dụng trong các nút xử lý sau công nghệ xử lý Intel18A trong hai đến ba năm tới.
Ngược lại, TSMC đã áp dụng một chiến lược thận trọng hơn, ngành kỳ vọng rằng TSMC có thể không áp dụng máy in thạch bản EUV có NA cao cho đến quy trình A1.4 hoặc sau năm 2030.
Theo các báo cáo trước đó, ASML sẽ sản xuất tới 10 máy in thạch bản EUV NA cao thế hệ mới vào năm 2024, trong đó Intel đã đặt hàng tới 6 chiếc. Ngành công nghiệp chỉ ra rằng, ít nhất ban đầu, giá thành của EUV NA cao có thể cao hơn EUV NA thấp. Đây cũng là lý do TSMC tạm thời chờ đợi và theo dõi. TSMC thích sử dụng các công nghệ trưởng thành với chi phí thấp hơn nhằm đảm bảo khả năng cạnh tranh của sản phẩm. EUV NA cao yêu cầu công suất nguồn sáng cao hơn để điều khiển kích thước phơi sáng mịn hơn, điều này làm tăng tốc độ hao mòn trên các thấu kính chiếu và lưới ngắm, làm mất đi lợi ích của thông lượng cao hơn.
Nhưng điều chắc chắn là Intel sẽ đi trước các đối thủ cạnh tranh khi nói đến khả năng học khẩu độ số cao, điều này sẽ mang lại cho Intel một số lợi thế. Cụ thể, vì Intel có thể sẽ là công ty đầu tiên triển khai sản xuất số lượng lớn bằng cách sử dụng các công cụ có khẩu độ số cao, nên hệ sinh thái công cụ chế tạo chắc chắn sẽ đi theo hướng dẫn đầu. Các yêu cầu trên có thể được chuyển thành tiêu chuẩn ngành, điều này có thể giúp Intel có lợi thế hơn TSMC và Samsung.

Mở rộng sản xuất bao bì cao cấp​

Khi Intel tích cực đầu tư vào nghiên cứu và phát triển các quy trình tiên tiến, họ đồng thời tập trung vào tất cả các lĩnh vực đóng gói tiên tiến.
Năm 2023, Intel mở rộng năng lực sản xuất bao bì tiên tiến tại Malaysia, với mục tiêu tăng năng lực sản xuất bao bì tiên tiến lên 4 lần vào năm 2025 so với mức hiện tại. Người ngoài kỳ vọng rằng sau khi Intel kết hợp các quy trình tiên tiến và khả năng đóng gói tiên tiến, sức mạnh "sản xuất một cửa" sẽ tăng lên đáng kể và sẽ trở nên cạnh tranh hơn trong lĩnh vực đúc wafer.
TSMC và Samsung đang tích cực phát triển các công nghệ đóng gói tiên tiến. TSMC tập trung vào bao bì tiên tiến "Vải 3D", bao gồm các giải pháp InFo, CoWoS và SoIC; Samsung cũng đang phát triển các công nghệ đóng gói như I-cube và X-Cube. Các công nghệ đóng gói tiên tiến của Intel bao gồm các giải pháp 2,5D EMIB và 3D Foveros.
Intel không tiết lộ tổng công suất sản xuất bao bì 3D Foveros ở giai đoạn này mà chỉ nhấn mạnh rằng ngoài Oregon và New Mexico ở Hoa Kỳ, hãng cũng sẽ có năng lực sản xuất liên quan tại nhà máy Penang mới trong tương lai. năng lực sản xuất bao bì của ba cơ sở này sẽ tăng gấp 4 lần mức hiện tại vào năm 2025. Phó chủ tịch Intel Robin Martin cho biết nhà máy mới ở Penang sẽ trở thành cơ sở đóng gói tiên tiến 3D Foveros lớn nhất của Intel trong tương lai.
Với sự phát triển của các quy trình tiên tiến, xu hướng phát triển của chiplets và tích hợp không đồng nhất là rõ ràng.Người ta tin rằng bố cục đóng gói tiên tiến 2.5D/3D của Intel sẽ không chỉ tăng cường sức mạnh cho bộ xử lý của chính họ và các sản phẩm khác mà còn cho phép Intel phấn đấu tạo ra nhiều sản phẩm hơn trong tương lai Một điểm bán hàng chính của hoạt động kinh doanh dịch vụ đúc.

Sản xuất hàng loạt sớm hơn​

Theo tuyên bố mới của Intel, các chip được sản xuất bằng quy trình Intel 18A sẽ xuất hiện vào quý 1 năm 2024 và lô sản phẩm sản xuất hàng loạt đầu tiên sẽ có mặt vào nửa cuối năm 2024. Ngược lại, quy trình N2 của TSMC sẽ không được sản xuất hàng loạt cho đến nửa cuối năm 2025 và về mặt lý thuyết, Intel đã đi trước một năm.
Đối mặt với loạt đòn nặng từ Intel, TSMC nghĩ gì?

TSMC có nhiều lợi thế đi đầu​

Trước thách thức của Intel, TSMC không tỏ ra yếu thế.
Chủ tịch TSMC Wei Zhejia cho biết, dựa trên đánh giá nội bộ, quy trình N3P tương đương với công nghệ Intel 18A về hiệu suất và hiệu quả sử dụng năng lượng, nhưng nó đã được ra mắt sớm hơn, trưởng thành hơn về mặt kỹ thuật và có chi phí thấp hơn nhiều. Nó cũng nhắc lại rằng quy trình N2 của TSMC vượt trội hơn so với đối thủ 18A của Intel và sẽ trở thành công nghệ tiên tiến nhất trong ngành bán dẫn khi ra mắt vào năm 2025.
TSMC có kế hoạch sử dụng bóng bán dẫn GAAFET ở nút quy trình 2nm, đồng thời sẽ giới thiệu bóng bán dẫn Nanosheet GAA và bổ sung công nghệ đường ray điện mặt sau trong quy trình N2P được phát hành vào năm 2026. Quy trình sản xuất vẫn dựa trên công nghệ in thạch bản EUV hiện có. TSMC tin rằng sau khi giới thiệu thế hệ công nghệ mới, tiến trình N2 sẽ giành chiến thắng về sức mạnh, hiệu suất và diện tích.

Tích lũy nhiều lợi thế kỹ thuật​

Trong cuộc cạnh tranh tiến trình 3nm với Samsung, TSMC không mặn mà sử dụng GAAFET. Với lợi thế công nghệ và sự tích lũy về khả năng dẫn đầu quy trình và năng suất sản xuất, nó hoàn toàn có khả năng cạnh tranh với Samsung bằng kiến trúc MBCFE (công nghệ bóng bán dẫn hiệu ứng trường tinh thể kênh đa cầu của Samsung, có thể được phân loại là công nghệ GAA).
Thành công của TSMC bắt nguồn từ việc tích lũy nhiều lợi thế công nghệ. Đầu tiên là đầu tư dài hạn để đạt được lợi thế nghiên cứu và phát triển công nghệ hàng đầu. Ví dụ, để phù hợp với hiệu suất của công nghệ xử lý mới, TSMC đã sản xuất thành công SRAM tấm nano 32 Mb dựa trên cấu trúc Tấm Nano, có lợi thế rõ ràng về tiêu thụ điện áp thấp; về vật liệu 2D , TSMC dựa trên vật liệu sulfide 2D bao gồm molybdenum sulfide và vonfram sulfide đạt được hiệu suất rất cao On-current; về mặt quản lý năng lượng, các nhà nghiên cứu của TSMC đã nhúng các ống nano carbon vào thiết kế CMOS để thay thế chức năng điều khiển hiện tại của Power Gating. , cung cấp tính năng mới ý tưởng cho việc thu nhỏ hơn nữa trong tương lai.
Bước thứ hai là tối ưu hóa và chuyển đổi quy trình. Để đối phó với cuộc khủng hoảng mà Định luật Moore sắp hết hiệu lực, việc chỉ thu nhỏ bóng bán dẫn và tăng mật độ để cải thiện hiệu suất chip là không thành công. TSMC đã thúc đẩy một số công nghệ đóng gói 3D front-end và back-end để cải thiện hiệu suất chip. Ví dụ: công nghệ xếp chồng SOIC 3D được triển khai ở mặt trước của quá trình sản xuất chip và công nghệ đóng gói CoWoS và InFo 3D được triển khai ở mặt sau. Những công nghệ này giúp đạt được độ co của bóng bán dẫn đồng thời cải thiện hiệu suất hơn nữa.

Lần đầu sử dụng GAA​

Công nghệ GAAFET lần đầu tiên được TSMC sử dụng trong quy trình 2nm khác với kiến trúc bóng bán dẫn hiệu ứng trường vây (FinFET) được sử dụng trong quy trình 3nm và 5nm. Kiến trúc GAAFET dựa trên quy trình cổng xung quanh (GAA) và có thể giải quyết vấn đề FinFETch: Các vấn đề giới hạn vật lý như rò rỉ điều khiển hiện tại do co rút quá trình.
TSMC được nhiều người coi là nhà phát triển công nghệ xử lý thận trọng nhưng ổn định, thích đảm bảo sự trưởng thành và độ tin cậy của công nghệ mới trước khi triển khai chúng thay vì vội vàng đưa công nghệ mới ra thị trường. Cách tiếp cận này giúp giảm nguy cơ lỗi công nghệ và cải thiện năng suất cũng như chất lượng chip, từ đó đảm bảo sự hài lòng của khách hàng. Ví dụ: Samsung đã bắt đầu sử dụng EUV trong quy trình 7nm vào năm 2018, tuy nhiên TSMC đã chọn chờ đợi. Việc sử dụng EUV trong quy trình N7+ vào năm 2019 sẽ không bắt đầu cho đến khi tính ổn định và trưởng thành của các công cụ EUV được xác nhận và các vấn đề liên quan được giải quyết hoặc ít nhất là được xác định.
Cách tiếp cận thận trọng này giúp TSMC đảm bảo tính ổn định và khả năng dự đoán của công nghệ xử lý để cung cấp chip chất lượng cao cho khách hàng.
TSMC chắc hẳn đã có sự chuẩn bị và kế hoạch đầy đủ cho việc sử dụng GAA lần này, thế hệ 2nm có thể sẽ chứng kiến một đợt bùng phát mới của TSMC.

TSMC N2 là phần mở rộng của N3​

Công nghệ 2nm của TSMC là sự tiếp nối của công nghệ 3nm. Từ trước đến nay, TSMC đã kiên định tuân thủ chiến lược phát triển một nút quy trình ở mỗi bước, hoạt động ổn định và liên tục để tạo ra những bước đột phá. Giờ đây, trên hành trình hướng tới sản xuất 2nm, chúng ta có thể thấy trước rằng nó sẽ thừa hưởng nhiều ưu điểm của công nghệ 3nm, giống như một vận động viên tiếp sức xuất sắc trong cuộc đua tiếp sức, truyền lại kết quả xuất sắc của người trước cho người tiếp theo. Do đó, trong cuộc chiến quy trình tiên tiến này, TSMC có lợi thế đi đầu về độ trưởng thành công nghệ và kiểm soát lợi nhuận.

Sự tin tưởng của khách hàng​

Thành công của TSMC không chỉ phụ thuộc vào công nghệ sản xuất chip tiên tiến mà còn phụ thuộc vào mô hình kinh doanh chỉ dành cho xưởng đúc, tỷ suất lợi nhuận tốt và niềm tin của khách hàng. Ở thế hệ 3nm, báo giá của TSMC vượt quá 20.000 USD, cao hơn 4.000 USD so với giá đúc 4nm/5nm. Mức giá cao này đã khiến nhiều khách hàng nản lòng nhưng Apple vẫn chọn TSMC để sản xuất sản phẩm và đảm nhận toàn bộ năng lực sản xuất. Dù Samsung nỗ lực vượt qua TSMC trong lĩnh vực đúc wafer nhưng TSMC vẫn giữ vững vị thế dẫn đầu tuyệt đối và nhận hầu hết các đơn hàng 3nm trên thị trường. Giờ đây, ngoài Apple, các khách hàng như Nvidia, AMD, Qualcomm và MediaTek cũng đang có kế hoạch mua năng lực sản xuất quy trình 3 nanomet (N3E) thế hệ thứ hai.
Tuy nhiên, cần lưu ý rằng để có thêm tiếng nói, các nhà sản xuất chip không muốn một công ty thống trị quá trình sản xuất chip, một khi hiệu suất và các dữ liệu khác của chip xử lý tiên tiến của Intel tốt hơn hoặc ngang bằng TSMC, thì Apple, Qualcomm, NVIDIA và nhiều công ty khác của Mỹ rất có thể sẽ chọn Intel. Suy cho cùng, các giám đốc điều hành của Nvidia trước đó đã nói rõ rằng họ sẵn sàng xem xét để Intel sản xuất chip.
Cuối cùng, tranh chấp công nghệ xử lý giữa Intel và TSMC chắc chắn sẽ trở thành tâm điểm của ngành bán dẫn trong vài năm tới. Đánh giá theo dòng thời gian hiện được tiết lộ, câu trả lời nghiên cứu và phát triển cho quy trình 2nm sẽ được công bố vào năm 2025. Công nghệ sẽ phát triển như thế nào trong tương lai? Chúng ta sẽ được thấy nhiều đổi mới và đột phá về công nghệ hơn vào năm 2025 và hơn thế nữa.
 


Đăng nhập một lần thảo luận tẹt ga
Thành viên mới đăng
Top